英特尔卷土重来,谁能坐稳后摩尔时代工艺制程王座?

2022-12-7 14:00:00
  • 芯片制造 摩尔定律 英特尔

据国外媒消息,英特尔副总裁兼技术开发负责人Ann Kelleher近日表示,英特尔公司正在实现重新获得半导体制造领导地位这一目标。

Kelleher表示:“英特尔按季度制定的里程碑显示,我们处于领先地位或步入正轨。”她说,英特尔目前正在量产7nm芯片,另外已准备好开始制造4nm芯片,并将准备在明年下半年转向3nm。

谁能坐稳后摩尔时代工艺制程王座?

英特尔CEO基辛格曾表示要重回生产技术的领导地位,“重回领导地位”的前提条件是,英特尔曾经长期处于领导地位。以及此处我们所谈及的生产技术,具体是指半导体尖端制造工艺。

回溯英特尔早期工艺,在14nm之前,英特尔始终推动着业界的主要制程工艺创新,并始终保持着比别家fab和foundry厂领先几年的技术水平。比如在90nm时代,英特尔针对应力增强引入eSiGe(嵌入硅锗),为业界首位;2005年英特尔首度采用HKMG(high-k metal gate,高介电常数金属栅),比别家提前了5年以上;2011年英特尔22nm工艺首次引入FinFET结构晶体管......

在14nm以后,英特尔遭遇技术瓶颈,首先是原本计划的Fab 14工厂升级工艺被取消,而后10nm屡屡受挫,7nm变得遥遥无期。直至今年,英特尔在IEEE VLSI会议上公开了自己首个7nm工艺。

在英特尔先进工艺陷入停摆的这段时间,台积电和三星一路高歌猛进,台积电自7nm工艺后则稳坐先进节点王座。

2018年N7(7nm)工艺问世可作为台积电超过英特尔的拐点,也是这时,AMD Zen 2架构CPU开始采用台积电7nm工艺,并在最终出售的CPU产品上,首次实现对英特尔处理器的部分超越。此后台积电迅速在2020年推出6nm和5nm,并宣布在今年4季度量产3nm,以及计划于2024年下半年进入风险性试产的2nm节点。

向上追赶是常态,英特尔计划2030年超越三星代工业务,而三星也扬言2030年要超越台积电。今年7月,三星宣布量产3nm制程,并将率先采用GAA技术还计划2023年推出第二代3nm制程,并更进一步计划到2025年发展达到2nm制程,到2027年达到1.4nm制程。业界人士表示,三星的计划表还是有可能实现的,其目前的关键问题还在于产品良率。


英特尔卷土重来,谁能坐稳后摩尔时代工艺制程王座?


据TrendForce集邦咨询研究显示,在今年第二季前十大晶圆代工产值中,台积电第二季营收为181.5亿美元,5/4nm营收季增约11.1%,是第二季营收表现最佳的制程节点,7/6nm制程节点营收季增2.8%。三星方面,7/6nm产能陆续转换至5/4nm制程,良率持续改善,带动第二季营收达55.9亿美元,季增4.9%。同时,首个采用GAA架构的3GAE制程于今年第二季底正式量产,首波客户为挖矿公司PanSemi。

当下,跌出神坛的英特尔计划通过在产业、人才、技术等各个方面的布局重回巅峰,聚焦在尖端制造工艺方面,英特尔的计划是4年要推进从Intel 7到Inetl 18A的5个主要工艺节点。


英特尔卷土重来,谁能坐稳后摩尔时代工艺制程王座?
英特尔2022年投资者大会图


Intel 7已经在2021年量产,英特尔计划将于今年下半年量产(或做好量产准备)Intel 4(相当于7nm);在明年下半年量产(或做好量产准备)Intel 3;2024年上半年量产(或做好量产准备)Intel 20A;以及2024年下半年量产(或做好量产准备)Intel 18A。

业界人士表示,英特尔4年跨5个节点计划虽然有些夸张,但是值得注意的是,英特尔已经在一些方面充分吸取了此前的教训,并做了一些技术方面的更改。

早年英特尔严格地遵循着“摩尔定律”,毕竟摩尔定律就是英特尔的Gordan Moore提出的,在此期间,英特尔十分执着晶体管密度的阙值。公开资料显示,从英特尔公布的逻辑晶体管密度进化方向看22nm→14nm,英特尔达成了2.5倍晶体管密度提升;而14nm→10nm,英特尔的目标是2.7倍的晶体管密度提升。英特尔当年称其为Hyper Scaling超级缩放。这些值可谓是非常的客观的,毕竟台积电N7→N5 1.9x密度提升,N5→N3 1.6x密度提升已经是业界领先了。

同样的,业界有许多人士认为,英特尔10nm、7nm工艺难产的关键,就在英特尔对于晶体管密度的偏执上。英特尔在2021年对其工艺节点进行了改名操作,并推出了4年更新5代节点的设想,实际上都是对过去偏执于晶体管密度提升这一传统的抛弃。

从台积电、三星、英特尔的先进节点计划看,如果大家的工艺进程都按时推进的话,三家可能会在2024或2025年两年里推出的2nm工艺上正面交锋,届时又将发生什么样的变数呢?我们拭目以待!